ASML

ASML Holding N.V.

Logo
RechtsformNaamloze Vennootschap
ISINNL0010273215
Gründung1984
SitzVeldhoven, Niederlande Niederlande
Leitung
  • Peter Wennink (CEO, seit 1999)
  • Martin van den Brink (CTO)
  • Roger Dassen (CFO)
  • Frédéric Schneider-Maunoury (COO)[1]
Mitarbeiterzahl39.086 (2022)[2]
Umsatz21,2 Mrd. (2022)[2]
BrancheHalbleiterindustrie
Websitewww.asml.com
Stand: 31. Dezember 2022

Die ASML Holding N.V. (Advanced Semiconductor Materials Lithography) ist ein niederländisches multinationales Unternehmen und der weltweit größte Anbieter von Lithographiesystemen für die Halbleiterindustrie.[3] Die überaus komplexen Maschinen spielen eine wichtige Rolle bei der Herstellung von integrierten Schaltkreisen (Mikrochips).[4] Der Großteil der Chiphersteller (Foundries und IDMs) sind Kunden von ASML.[5] Der globale Marktanteil des Unternehmens für Lithographie-Ausrüstungsindustrie wird auf 80–90 % taxiert.[3][6] ASML bietet Kundendienst an über 60 Servicestellen in 14 Ländern an.[7]

Der Hauptsitz von ASML befindet sich in Veldhoven in den Niederlanden. Dort befinden sich neben 225.000 m² Bürofläche auch die Forschungs- und Entwicklungsabteilung sowie die Montage unter Reinraum-Bedingungen.[8] Er ist der wichtigste Forschungs-, Entwicklungs- und Produktionsstandort, der auch das europäische ASML Global Support Center, den Vorstand sowie globale Unternehmensfunktionen wie die Rechtsabteilung beheimatet.[7] Weitere Standorte für Forschung und Entwicklung sowie Fertigung unterhält das Unternehmen in Taiwan, Japan, China, Deutschland und den USA.[9]

Mit dem Stand von Juni 2023 ist ASML das wertvollste Technologieunternehmen Europas.[10][11]

Geschichte

Gründung

Die Firma wurde 1984 als ein Joint-Venture von ASM International (ASMI) und Philips gegründet, um Lithografiesysteme für die wachsende Nachfrage nach Computerchips zu entwickeln.[12] Das Unternehmen hieß zu diesem Zeitpunkt noch „ASM Lithography“.[13] Im selben Jahr stockten ASMI und Philips ihre Investitionen auf, wodurch ein neu errichtetes Büro samt Werk mit den ca. 100 Mitarbeitern in Veldhoven bezogen werden konnte.[12] 1986 wurde das erste Halbleiter-Belichtungssystem unter dem Namen PAS 2500 auf den Markt gebracht. Dieser Stepper arbeitete mit blauem Licht mit einer Wellenlänge von 436 nm. Gleichzeitig begann man eine bis heute andauernde Zusammenarbeit mit dem Optikunternehmen Carl Zeiss.

1988 war das Unternehmen bereits nach Taiwan und in die Vereinigten Staaten expandiert, was jedoch nicht von nennenswerten Erfolgen begleitet wurde.[12] Ohne diese Erfolge war der Anteilseigner ASMI nicht in der Lage weitere Investitionen in das neue Unternehmen zu tätigen.[12] Daher verkaufte ASMI im Oktober 1988 die vollständigen 50 % ihrer Anteile am Joint-Venture an den Mitgründer Philips.[12][14] Unter der Kontrolle von Philips expandierte das nun ASML heißende Venture nach Asien und wurde zu einem Konkurrenten von ASMI.[15] Gegen Ende der 80er Jahre verschlechterte sich die Marktlage in der Elektronikindustrie, wodurch auch Philips in Bedrängnis geriet, weiterhin Geld in das defizitäre Venture zu investieren.[12]

Börsengang und 2000er

Mit der letzten Zusage von Mitteln gelang ASM Lithography durch die Entwicklung eines neuen, laut eigenen Angaben branchenführenden und sehr erfolgreichen Systems (PAS 5500) der Sprung in die Profitabilität.[12] Um die Bankkredite, die bei der Anteilsübernahme angefallen waren zu tilgen und um Erfolge zu realisieren entschied sich Philips im Jahr 1993 das Unternehmen an die Börse bringen zu wollen.[16] Am 15. März 1995 erfolgte der Börsengang von ASML.[17] Das Unternehmen wurde gleichzeitig an der Amsterdam Stock Exchange und der New York Stock Exchange gelistet.[12] Der Besitzer Philips verkaufte 50 % seiner Anteile für 170 Millionen US-Dollar.[15] Aufgrund der hohen Nachfrage nach weiteren Aktien und dem gestiegenen Aktienkurs entschied sich Philips im Jahr 1997 für den Verkauf weiterer 25 % von ASML für insgesamt 266 Millionen US-Dollar.[15][16]

In den Jahren 1999 und 2000 kaufte ASML die Unternehmen MaskTools und Silicon Valley Group (SVG) auf, die vor allem eine Investition in den vielversprechenden Zweig der extremen Ultraviolett-Bestrahlung (EUV) darstellte.[15][16] Im Juni 2000 verkaufte Philips erneut weitere Aktien, wodurch sie nur noch mit 6,7 % an ASML beteiligt waren.[15] Der Konzern beschäftigte nun bereits über 8.000 Mitarbeiter.[15] Nach der Anzahl an ausgelieferten Systemen schaffte es ASML zu diesem Zeitpunkt bereits mit 245 Systemen auf Platz 2 hinter Nikon mit 270 Systemen.[15]

Nach einem steilen Aufstieg folgten mit dem Zusammenbruch des Neuen Marktes im Jahr 2001 auch für ASML Konsequenzen in Form eines Umsatzrückgangs von über 40 %, der Schließung einiger Fertigungsstätten und der Freisetzung von 13 % der Belegschaft.[15] Im Kontrast zu anderen Wettbewerbern und Unternehmen am Neuen Markt gelang ASML bereits in der zweiten Jahreshälfte 2002 die Erholung, wobei erneut 10 % der Mitarbeiter entlassen wurden.[15]

Im Jahr 2007 übernahm ASML das Unternehmen BRION, um den Chipfertigungsprozess entlang der Veredlung weiter zu optimieren.[12] Im Folgejahr wurden dadurch das erste ganzheitliche lithografieren ermöglicht.[12]

2010er und heutiger Konzern

Mit dem Beginn der neuen Dekade kehrte ASML zurück zur Erforschung und dem Bau eines Prototyps für die Lithografie mit der extremen Ultraviolett-Bestrahlung (EUV).[12] Mit der Übernahme des damals führenden Herstellers von Lithografie-Lichtquellen Cymer wollte ASML die Entwicklung im Jahr 2013 beschleunigen und so die Fertigung von Halbleitern mit kleineren Strukturgrößen erreichen.[18] Mit einer weiteren Übernahme des Unternehmens Hermes Microvision (HMI) im Jahr 2016 wurde das Portfolio für die Lithografie-Fertigung erweitert.[12] Mit der besten und hochentwickelsten Ausführung der EUV-Fertigung stieg ASML gegen 2017 zum Quasimonopol auf, da sie laut einer Meldung der Taipei Times vom Mai 2018 als einziges Unternehmen in der Lage waren, entsprechende Maschinen herzustellen.[19] Im November 2020 wurde die Übernahme des Optikherstellers Berliner Glas (heute: ASML Berlin) abgeschlossen, die erneut auf das Lithografie-Portfolio einzahlen soll.[20][21]

Im Jahr 2023 stellte ASML Maschinen für die am höchsten entwickelten Computerchips der Welt her, die in den neusten Generationen von Handys, Konsolen und Computern verbaut werden.[22][23] Außerdem beeinflussen sie indirekt die Entwicklung von KI, Robotik und dem IoT.[23] Die größten Kunden von ASML sind laut einer Meldung von CNBC vom März 2023 Taiwan Semiconductor (TSMC), Samsung und Intel.[24] Die Aktien sind Bestandteil des Nasdaq-100 sowie des Euro Stoxx 50.[25][26]

Technologiemeilensteine

1984 wurde das erste System PAS 2000 Stepper vorgestellt, der in der Lage war die Silicium-Wafer optimal auszurichten.[12][27][28] Im Jahr 1985 folgte die Weiterentwicklung des Stepper als Modellname PAS 2000/10, der als erster kommerzieller Stepper eingeordnet wird.[28] 1986 erschien das Modell PAS 2500/10, dessen Ausrichtungsmechanismus und Systemfertigkeit zur damaligen Zeit die am weitesten entwickelten waren.[12][29]

1995 gelang dem Unternehmen laut Angaben aus dem Marketing mit der Modellreihe PAS 5500 der Durchbruch als Branchenführer.

2003 stellte das Unternehmen erste Versuchsanlagen für die Immersionslithografie vor (TWINSCAN AT: 1150i). Hierbei wird das Licht von der Optik durch eine Wasserschicht (statt Luft) zwischen der Optik und dem Wafer projiziert. Damit verbessert sich die numerische Apertur und somit die Abbildungsqualität des Systems. Bei diesen ersten Anlagen handelte es sich um umgebaute „trockene“ ArF-Lithografiesysteme für erste Machbarkeitsstudien. Ein Jahr später folgten Umbausätze Twinscan XT:1400 (nach dem Umbau Twinscan XT:1400i genannt) das bereits für die Produktion genutzt werden konnte.[30] 2005 kam dann das erste für die Immersionslithografie entworfene Produkt (TWINSCAN XT:1700i) von ASML auf den Markt.[31] Seit den Produkteinführen der frühen 2000er Jahre war es nun möglich die Integrationsdichte auf deutlich unter 100 nm zu verkleinern.[30][32]

Aufgrund der technisch-physikalischen Limitationen, die immer höhere bzw. engere Integrationsdichten deutlich unter 25 nm mit sich führten, wandte sich ASML in den 2010er Jahren mit neusten internen und externen Innovationen der EUV-Lithografie (Extreme Ultraviolet Lithography) zu und lieferte mit die ersten Anlagen (NXE:3100) aus.[33][34][12] Um die Entwicklung von Systemen für immer kleinere Strukturen zu finanzieren, beteiligten sich 2012 drei der wichtigsten Kunden (Intel, TSMC und Samsung) an ASML und sicherten finanzielle Unterstützung der Entwicklung für die nächsten fünf Jahre zu.[35] Im Jahr 2016 wurde mit der Lieferung der weltweit ersten EUV-Maschinen der Reihe NXE:3400 an Kunden die Massenproduktion von Chips bis zu 5 nm ermöglicht.[12][19]

Aktuell hat jede High-end Maschine ca. die Größe eines Busses, benötigt drei Boeing 747 für die Auslieferung und kostet zwischen 185 und 360 Mio. Euro.[24][36]

Finanzkennzahlen

Finanzdaten in Mio. EUR[37][38]
Jahr2013201420152016201720182019202020212022
Umsatz5.2455.8566.2876.7959.05310.94411.82013.97918.61121.173
Gewinn1.0161.1971.3871.4722.1192.5922.5923.5545.8835.624
Bilanzsumme11.51412.20413.29517.20618.19620.13722.63027.26730.23136.300
Mitarbeiter10.36011.31812.16813.99116.21920.04423.21926.61429.86136.112
Marktkapitalisierung

(letzter Schlusskurs des Jahres)

45.46862.84458.973112.906166.604290.796204.513
Hauptsitz in Veldhoven

Kritik

Monopolstellung

Aufgrund der Marktstellung und der einzigartigen Produkte wird ASML oft als Monopolmacht für Computerchips bzw. Computerchipmaschinen bezeichnet, deren liefern oder nicht liefern immense Auswirkungen auf den technischen Fortschritt einer Region haben kann.[39][40] Wettbewerber wie Canon oder Nikon könnten einen Ausfall von ASML in keinem Fall kompensieren.[39]

Einfluss von Regierungen

Westliche Regierungen, insbesondere die der USA, üben regelmäßig Druck auf ASML und die niederländische Regierung aus, um zu verhindern, dass die Chipmaschinen der neusten Generation an wirtschaftlich konkurrierende Länder wie China gelangen.[39][41] Dies hätte laut USA reine geostrategische Hintergründe.[42] Seit März 2023 bestehen durch die niederländische und US-amerikanische Regierung für bestimmte Chip-Produktionsmaschinen Restriktionen für den Export nach China an.[43] Im Oktober 2023 wurde die Restriktionsliste für Exporte aus der USA wiederum um weitere Produkte und Produktionsmaschinen erweitert.[44]

Weblinks

Commons: ASML – Sammlung von Bildern, Videos und Audiodateien

Einzelnachweise

  1. ASML Board of Management
  2. a b Annual Report 2022. (PDF) ASML, 14. Februar 2023, abgerufen am 10. März 2023 (englisch).
  3. a b Fitch Affirms ASML at 'A'; Outlook Stable. Fitch Ratings, 5. April 2023, abgerufen am 11. August 2023 (englisch).
  4. About ASML. ASML, abgerufen am 11. August 2023 (englisch).
  5. Joachim Hofer: ASML: Europas wertvollster Tech-Konzern erwartet Endlosboom. Handelsblatt, 22. April 2022, abgerufen am 11. August 2023.
  6. Dair Sansyzbayev: ASML: Dominating The Business. Seeking Alpha, 21. März 2023, abgerufen am 11. August 2023 (englisch).
  7. a b About ASML - The world's supplier for the semiconductor industry. Abgerufen am 13. Januar 2023 (englisch).
  8. ASML Headquarters - Netherlands. Abgerufen am 13. Januar 2023 (englisch).
  9. Locations. ASML, abgerufen am 11. August 2023 (englisch).
  10. Siladitya Raay: Shares Of Europe’s Most Valuable Tech Firm Fall Amid New U.S.-Led Efforts To Restrict Chip Exports To China. Forbes, 30. Juni 2023, abgerufen am 18. Oktober 2023 (englisch).
  11. Georgia Wilson: Top 10 technology companies in Europe. businessChief., 19. Juli 2023, abgerufen am 18. Oktober 2023 (englisch).
  12. a b c d e f g h i j k l m n o p Our history. ASML, abgerufen am 11. August 2023 (englisch).
  13. About ASML: Questions and Answers. ASML Holding, abgerufen am 3. August 2010 (englisch).
  14. ASMI SELLS ITS 50% STAKE IN ASM LITHOGRAPHY. TechMonitor, 6. Oktober 1988, abgerufen am 11. August 2023 (englisch).
  15. a b c d e f g h i ASML Holding N.V. History. Funding Universe, 2003, abgerufen am 11. August 2023 (englisch).
  16. a b c Chapter 4 1994 | 1998 - ASML goes public. Chiphistory, 1998, abgerufen am 12. August 2023 (englisch).
  17. ASML Holding N.V. (ASML) - About ASML. Stock Analysis, abgerufen am 11. August 2023 (englisch).
  18. ASML doet grootste overname ooit. De Telegraaf, 8. November 2012, abgerufen am 14. Mai 2018 (niederländisch).
  19. a b Dutch firm ASML perfecting ‘the shrink’ for microchips. Taipei Times, 14. Mai 2018, abgerufen am 16. Mai 2018 (englisch).
  20. ASML mit Zahlen und Übernahme. In: boerse.ard.de. 15. Juli 2020, archiviert vom Original am 15. Juli 2020; abgerufen am 15. Juli 2020.
  21. Iris Teichmann, Monique Mols: ASML-Kauf der Berliner Glas Gruppe abgeschlossen. Die Berliner Glas Gruppe ist jetzt Teil von ASML. (PDF) Presseinformation. In: Berliner Glas Gruppe. Berliner Glas KGaA. Herbert Kubatz GmbH & Co., 2. November 2020, abgerufen am 13. Januar 2021.
  22. Carmel O'Grady, Matthew Kenyon: How ASML became Europe’s most valuable tech firm. BBC, 21. Februar 2023, abgerufen am 11. August 2023 (englisch).
  23. a b Lara Williams: Why ASML is the most important tech company you’ve never heard of. Investment Monitor, 5. Oktober 2022, abgerufen am 12. August 2023 (englisch).
  24. a b Katie Tarasov: ASML is the only company making the $200 million machines needed to print every advanced microchip. Here’s an inside look. CNBC, 23. März 2022, abgerufen am 12. August 2023 (englisch).
  25. Nasdaq-100®: Industry Breakdown. Nasdaq, abgerufen am 12. August 2023 (englisch).
  26. Euro Stoxx 50. In: boerse.de. Abgerufen am 12. August 2023.
  27. ASML - PAS 2000 Wafer Stepper. The Chip History Center, abgerufen am 11. August 2023 (englisch).
  28. a b Chris Mack: Milestones in Optical Lithography Tool Suppliers. In: www.lithoguru.com. 2005, abgerufen am 11. August 2023 (englisch).
  29. ASML - PAS 2500/10 Stepper. The Chip History Center, abgerufen am 11. August 2023 (englisch).
  30. a b Mark LaPedus: EETimes - ASML debuts 193-nm dry/immersion litho tool. In: EETimes. 20. April 2004, abgerufen am 24. Januar 2022 (englisch).
  31. ASML introduces the industry’s highest NA immersion tool. ASML, 12. Juli 2005, abgerufen am 24. Januar 2022 (englisch).
  32. ASML launches new TWINSCAN 300 mm lithography platform. ASML, 10. Juli 2000, abgerufen am 18. Oktober 2023 (englisch).
  33. Mark Lapedus: Why EUV Is So Difficult. Semiconductor Engineering, 17. November 2016, abgerufen am 18. Oktober 2023 (englisch).
  34. NXE Series - ASML. WikiChip, 22. Januar 2020, abgerufen am 18. Oktober 2023 (englisch).
  35. Our history. ASML, archiviert vom Original am 2. Juli 2018; abgerufen am 14. Mai 2018 (englisch).
  36. Cagan Koc: ASML Investors Eye Long Term as Stock Wavers on Chip-Sector Slump. Bloomberg, 24. Juli 2023, abgerufen am 18. Oktober 2023 (englisch).
  37. ASML - Fundamentale Kennzahlen. Boerse.de, 18. Oktober 2023, abgerufen am 18. Oktober 2023.
  38. Five-year overview summary. ASML, 18. Oktober 2023, abgerufen am 18. Oktober 2023 (englisch).
  39. a b c Mehul Reuben Das: The semiconductor monopoly: How one Dutch company has a stranglehold over the global chip industry. Firstpost, 23. Januar 2023, abgerufen am 11. August 2023 (englisch).
  40. How ASML became chipmaking’s biggest monopoly. The Economist, 29. Februar 2020, abgerufen am 11. August 2023 (englisch).
  41. Toby Sterling: ASML: trade war risks increasing, but no 2023 impact on China sales. Reuters, 15. Februar 2023, abgerufen am 12. August 2023 (englisch).
  42. Rob de Wijk discusses the Dutch situation in the geopolitical fight between the USA and China about ASML's chip machines in Dutch newspaper 'NRC'. In: Universiteit Leiden. 23. Januar 2020, abgerufen am 5. März 2021 (englisch).
  43. Christoph G. Schmutz: ASML: Den Haag will China weniger Chip-Maschinen liefern. In: Neue Zürcher Zeitung. 9. März 2023, abgerufen am 10. März 2023.
  44. Alexandra Alper, Karen Freifeld, Stephen Nellis: Biden cuts China off from more Nvidia chips, expands curbs to other countries. Reuters, 17. Oktober 2023, abgerufen am 18. Oktober 2023 (englisch).

Koordinaten: 51° 24′ 16″ N, 5° 25′ 1,3″ O

Auf dieser Seite verwendete Medien

New Euronext logo.svg
Logo von: Euronext – europäische Wertpapierbörse mit Sitz in Amsterdam, Niederlande
NASDAQ Logo.svg
Logo von: NASDAQ – Vollelektronische Wertpapierbörse in den USA
ASML Holding N.V. logo.svg
Autor/Urheber: Pixelpatser, Lizenz: CC BY-SA 4.0
ASML logo
ASML headquarters Veldhoven.jpg
Corporate headquarters of ASML (NASDAQ:ASMLD), a supplier of lithography systems for the semiconductor industry. The office building is 82 metres tall and located in Veldhoven, Netherlands.