Immersionslithografie

Bei der Immersionslithographie durchquert die Ultraviolettstrahlung von oben ein System von Linsen (1.) und einen dünnen Flüssigkeitsfilm (2.; hier Wasser), bevor es den Fotolack auf der Oberseite des Wafers (3.) erreicht.

Die Immersionslithografie ist eine Technik im Produktionsprozess der Mikroelektronik zur schärferen Abbildung bei der fotolithografischen Strukturierung. Die Technik nutzt dabei dasselbe Prinzip wie die Immersionsmikroskopie, sie dient aber nicht der Betrachtung eines Objekts, sondern der verkleinerten Projektion einer auf einer Fotomaske vorhandenen Struktur in eine Fotolackschicht, vgl. Fotolithografie (Halbleitertechnik). Es handelt sich dabei um eine Verbesserung der Projektionsbelichtung, bei der die Luft im Spalt zwischen der letzten Linse und der Wafer-Oberfläche durch eine Immersionsflüssigkeit (transparente Flüssigkeit mit möglichst hohem Brechungsindex) ersetzt wird. Dies erlaubt im Vergleich zu bauähnlichen Anlagen ohne Immersionsmedium die Herstellung von kleineren Strukturen, da eine größere numerische Apertur (NA) und Abbildungstiefe (engl. depth of focus, DOF) erreicht werden.

Die Einführung der Immersionslithografie ermöglichte es, bestehende Lithografiesysteme (Kombination aus Linsensystem, Fotomasken, Fotolacke usw.) auf Basis von ArF-Excimerlasern – nach deren Wellenlänge auch 193-nm-Lithografie genannt – weiterhin zu nutzen und dennoch kleinere Strukturen zu fertigen. Damit konnte die Einführung kostenintensiver und noch nicht für die industrielle Massenproduktion tauglicher Alternativen, wie die EUV- oder Elektronenstrahllithografie, vorerst weiter verschoben werden. In der Evolution der Lithografiesysteme ist es nach derzeitigem Wissen das letzte, das auf Brechung basiert.

Die Immersionslithografie ist die gängigste Technik, um integrierte Schaltkreise mit Strukturgrößen von 28 nm bis zu 10 nm in der industriellen Massenproduktion zu fertigen und stellt damit eine Schlüsseltechnik für die Herstellung von Produkten der Mikroelektronik wie Hauptprozessoren von Computern, System-on-a-Chip von Smartphones usw. dar.

Geschichte

Die Verbesserung der Auflösung optischer Systeme durch den Einsatz von Immersionsflüssigkeiten ist seit langer Zeit aus dem Bereich der Mikroskopie bekannt, vgl. Immersion (Mikroskopie). Der im Vergleich zu Luft größere Brechungsindex (n > nLuft ≈ 1) bewirkt eine Vergrößerung der numerischen Apertur von optischen Systemen und somit größere Abbildungstiefe sowie eine Verbesserung des Auflösungsvermögens. Der Einsatz von Immersionstechniken in der Fotolithografie wurde erstmals Ende der 1980er Jahre erwogen[1] und an einem Immersionsmikroskop gezeigt[2], Ende der 1990er für moderne Lacksysteme[2] demonstriert und seit Anfang der 2000er Jahre dessen technische Umsetzung stärker verfolgt. Ausgangspunkt war die Erkenntnis, dass die konventionellen „trockenen“ Lithografiesysteme, das heißt konventionelle Systeme ohne Immersionsmedium, in absehbarer Zeit nicht mehr in der Lage sein werden, die benötigten Auflösungen bereitzustellen. In diesem Zusammenhang war die Immersionslithografie ein aussichtsreicher Kandidat, um auch weiterhin die Skalierung von mikroelektronischen Schaltkreisen fortzuführen.

Erste Demonstrationsversuche mit der Immersionslithografie wurden in den folgenden Jahren (2003/2004) für HeCd-, Nd:YAG- und ArF-Lasersysteme (entsprechend den Wellenlängen 442 nm, 213 nm bzw. 193 nm) vorgestellt.[3] Zum damaligen Zeitpunkt wurden „trockene“ ArF-Scanner mit 193 nm Wellenlänge (193-nm-Lithografie) bereits in der industriellen Produktion eingesetzt und auch die Entwicklung von Immersions-ArF-Scannern (auch 193i-Lithografie genannt) kam schnell voran. Dazu trugen unter anderem die relativ geringen technischen Anpassungen bei, die notwendig waren, um bestehende „trockene“ Systeme in Immersionssysteme umzubauen. Dies erforderte zwar eine Teilneukonstruktion entsprechender Anlagen, der Aufwand war jedoch deutlich geringer als die Einführung von Fluor-Excimerlasersystemen (157 nm Wellenlänge) oder alternativer Verfahren wie der EUV-, Röntgen- oder Elektronenstrahllithografie, da bei diesen die bisher verwendeten Fotolacke und Laseroptiken nicht mehr genutzt werden können. So wurde bereits 2004 der erste für die Massenproduktion taugliche 193i-Scanner (ASML AT1150i, NA = 0,75) an das Albany NanoTech geliefert.[4] Der Einsatz der Immersionsscanner, bereits mit der für Wasser praktisch maximal erreichbaren NA von 1,3–1,35[5], begann mit der Einführung des 55-nm-Technologieknotens durch mehrere Hersteller von Flash-Speichern.[3] Die Kosten für industrielle Immersionslithografiesysteme sind stark abhängig vom Hersteller, sie betrugen 2010 ca. 30 Mio. USD für Nikons NSR-S620D-Anlagen[6] und 55 Mio. USD für ASMLs TwinScan-NXT:1950i-Anlagen.[7][8]

Alternative: 157-nm-Fotolithografie

Lange Zeit war die Umstellung der Fotolithografie auf Systeme mit immer kürzeren Wellenlänge ein wesentlicher Faktor um die Abbildungsauflösung zu verbessern. Daher wurde vor der Einführung der 193-nm-Immersionlithografie auch über „trockene“ Systeme mit einer Wellenlänge kürzer der bereits eingesetzten ArF-Excimerlaser (Wellenlängen 193 nm) geforscht. Ein Kandidat hierfür war der F2-Excimerlaser mit einer Wellenlänge von 157,67 nm. Mit diesem System hätten höhere Auflösungen auch ohne die in einem Immersionssystem notwendigen hochbrechenden Materialien möglich werden können. Die Umstellung der 157-nm-Lithografie, die bis 2003er Jahre noch als wirklicher Kandidat für den Ersatz/Nachfolger damaliger trockener 193-nm-Anlagen angesehen wurde, gestaltete sich jedoch schwieriger als gedacht. Die 193-nm-Immersionslithografie machte hingegen entscheidende Fortschritte. Mit der Entscheidung Intels (damals wie heute einer der Technologietreiber in der Halbleitertechnik) und weiterer Halbleiter- und Anlagenhersteller für die 193-nm-Immersionslithografie mit hochreinem Wasser und gegen die Belichtung mit 157 nm wurde die Entwicklung der 157-nm-Fotolithografie deutlich verlangsamt und spätestens mit der ITRS-Ausgabe im Jahr 2005 galt diese Technik nicht länger als Alternative oder Nachfolger für die 193-nm-(Immersions-)Fotolithografie.

Wesentliche Herausforderungen bei der Technologieentwicklung der 157-nm-Fotolithografie waren unter anderem die Suche nach neuen Fotolacken und Linsenmaterialien. Dabei wurde die Suche nach neuen und für die 157-nm-Technik passenden Fotolacken vor allem durch das Absorptionsverhalten typischerweise eingesetzter organischer Moleküle behindert. So absorbieren Phenol- und Carbonsäuregruppen sehr gut Ultraviolett mit einer Wellenlänge von 157 nm, sodass die Strahlung nicht ausreichend tief in die Fotolackschicht eindringen kann. Das Problem zu hoher Absorption besteht auch bei (hochbrechenden) Materialien für Linsen und Immersionsflüssigkeiten. So liegt die Wellenlänge der 157-nm-UV-Strahlung am Rand des Transmissionsfensters von typischerweise verwendetem speziellem Quarzglas (Suprasil 3301/3302[9]). Dies hat unter anderem eine stärkere Erwärmung im Betrieb zur Folge, was sich wiederum negativ auf das optische System und die Abbildungsgenauigkeit auswirkt. Ausreichende Transmission bei 157 nm zeigen CaF2-Kristalle. Die aufwendige Herstellung und Bearbeitung großformatiger Kristalle führt jedoch zu geringen Ausbeuten und vergleichsweise hohen Kosten[10]. Bei hochbrechenden Immersionsflüssigkeiten wirkt sich der steigende Absorptionskoeffizient noch stärker aus. So steigt beispielsweise für die oft präferierten Perfluorpolyether (PFPE) – PFPE sind ausreichend chemisch inert, nicht giftig, nicht korrodierend und weitgehend reinraumtauglich[11] – der Absorptionskoeffizient von 0,1–0,6 cm−1 bei 193 nm auf 6–19 cm−1 bei 157 nm.[12] Einige wenige PFPE bieten jedoch einen ausreichend geringen Absorptionskoeffizient von unter 1 cm−1 bei 157 nm.[11] Jedoch steigt bei diesen PFPE der Absorptionskoeffizient nach höheren Strahlungsdosen deutlich an, das heißt, sie sind nicht widerstandsfähig genug gegenüber der Laserstrahlung.[11] Daher gilt bislang, dass für den industriellen Einsatz bisher keine passenden Materialien zur Verfügung stehen.[3]

Hintergrund und Funktionsweise

Der Einsatz eines Immersionsmediums erlaubt eine höhere numerische Apertur (NA) und damit eine Verbesserung des Auflösungsvermögens. Mit Wasser kann ein Einfallswinkel von 55° an der Grenzfläche Linse Linse und Wasser realisiert werden. Bei der Verwendung von Luft liegt die praktisch erreichbare Grenze bereits bei etwa 35°

Um die Funktionsweise einer Immersionslithografieanlage zu verstehen, sind zunächst ein paar Grundlagen für die Bestimmung des Auflösungsvermögens eines optischen Systems notwendig. Die minimal erreichbare Auflösung eines optischen Systems kann durch folgende Gleichung beschrieben werden:

mit dem Auflösungskoeffizient (eine Prozesskonstante), der Vakuum-Wellenlänge des für die Belichtung genutzten Laserlichts , dem Brechungsindex des einfallenden Mediums und dem Öffnungswinkel der Linse . Das Produkt wird auch als numerische Apertur (NA) der Abbildungslinse bezeichnet.

Der Koeffizient [13] ist abhängig vom genutzten Belichtungsprozess und wird über die Belichtungsbedingungen, die Maskentechnik und die Eigenschaften des Fotolacks bestimmt. Dieser Wert konnte durch zahlreiche Verbesserungen (Lackchemie usw.) in den letzten Jahrzehnten von ca. 0,8 bis auf 0,38 gesenkt und damit das Auflösungsvermögen der Fotolithografieanlagen verbessert werden.[3] Darüber hinaus wurden in der Vergangenheit die minimale Auflösung durch die Reduzierung der Belichtungswellenlänge (von den Emissionslinien h und i einer Quecksilberdampflampe über KrF-Excimerlaser zu ArF-Excimerlasern, also von 434 nm, 365 nm, 248 nm bis hin zu 193 nm), der Verbesserung der Fotolackeigenschaften oder den Einsatz neuer Belichtungstechniken, z. B. Schrägbelichtung, erreicht.[3] Dieser Trend konnte nach der Einführung der ArF-Excimerlaser nicht mehr fortgesetzt werden, da eine weitere Reduzierung der Wellenlänge (F2-Excimerlaser, 157 nm) an neue Grenzen stößt. Haupthindernis ist, dass in diesem Spektralbereich viele der bisher verwendeten Materialien (Linsen, Fotolacke, Fotomaske, Pellikel usw.) nicht mehr ausreichend transparent sind. Die Entwicklung einer 157-nm-Fotolithografie verlangt daher nach der Suche und Einführung neuer Materialien mit hinreichend guten Eigenschaften. Diese Suche sowie die verhältnismäßig umfangreichen Änderungen an den bestehenden Anlagenkonzepten sind jedoch aufwendig und daher kostenintensiv. Aus diesem Grund wurde nach alternativen Verfahren, z. B. Elektronenstrahllithografie, sowie weiteren „technischen Tricks“ gesucht, mit denen ein besseres Auflösungsvermögen im industriellen Maßstab erzielt werden kann.

Einer dieser „technischen Tricks“ ist die Änderung der numerischen Apertur des Systems – weitere sind beispielsweise die Mehrfachstrukturierungen –, denn die Fähigkeit eines optischen Systems, Strukturen abzubilden, ist indirekt proportional zur numerischen Apertur der bildgebenden Geräte (vergleiche Formel oben). Die NA beträgt bei „trockenen“ Fotolithografiesystemen maximal 1 – theoretischer Grenzwert durch die Brechzahl von Luft, reale Spitzenwerte liegen bei ca. 0,95. Da es unmöglich ist, eine Auflösung durch stärkere Brechung (an der Linse) weiter zu erhöhen, kann eine Erhöhung der NA nur durch den Austausch des Kopplungsmediums, der Luft (nLuft ≈ 1), zwischen der letzten Linse (derzeit meist aus Quarz) und dem mit Fotolack beschichteten Wafer durch ein Immersionsmedium mit höherem Brechungsindex erreicht werden. Diese Änderung hat einen sehr wirkungsvollen Effekt auf das Auflösungsvermögen, da die Wellenlänge des Lasers (im Vakuum 193 nm) in Wasser bei ca. 134 nm liegt.

Schematische Darstellung des „Strahlkegels“ bei gleicher Abbildungstiefe (gleicher Einfallswinkel im Fotolack). Es ist zu sehen, dass für eine gleiche Abbildungstiefe ein geringerer Einfallswinkel aus dem Immersionsmedium notwendig ist.

Ein weiterer wichtiger Parameter in der Fotolithografie ist die Abbildungstiefe (engl. depth of focus, DOF). Sie kennzeichnet den Bereich (in Richtung der optischen Achse des Belichtungssystems), in dem der Fokus für eine scharfe Abbildung ausreichend ist. Da eine erfolgreiche Belichtung über die gesamte Dicke der Fotolackschicht erfolgen soll, muss die Abbildungstiefe daher ausreichend groß für die verwendete Fotolackschichtdicke und Unebenheiten der Waferoberfläche sein. Andernfalls kommt es zu Abbildungsproblemen, beispielsweise dass ober- oder unterhalb der Bildebene die entwickelten Strukturen deutlich kleiner sind und somit eine Art „Überhang“ bzw. eine nicht richtig geöffnete Maske die Folge wäre.

Ähnlich wie das Auflösungsvermögen ist auch die Abbildungstiefe abhängig von der verwendeten Wellenlänge, der eingesetzten numerischen Apertur und dem Medium zwischen Linse und Fotolack. Für ein Projektionssystem lässt sich die Abbildungstiefe allgemein berechnen durch (siehe hierzu auch [14][15]):

Für kleine Winkel kann dies vereinfacht werden zu:

Es lässt sich erkennen, dass bei gleicher Wellenlänge und gleichem Medium die Abbildungstiefe mit steigender numerischer Apertur sinkt. Das war bei bisherigen Anpassungen der Lithografiesysteme über die eingesetzte Wellenlänge ein notwendiger Kompromiss. Physikalisch kann dieser Effekt vereinfacht so erklärt werden, dass mit zunehmender NA die Komponenten der Ortsfrequenz in z-Richtung schnell aus der Phase kommen und somit verschmieren, wenn man sich von der Fokusebene entfernt.

Zusammenfassend kann man sagen, dass der Einsatz eines Immersionsmediums die Abbildungseigenschaften im Vergleich zu einem gleichartigen trockenen System deutlich verbessert. So kann bereits mit hochreinem Wasser (Brechungsindex von 1,47 bei einer Wellenlänge von 193 nm) als Immersionsmedium, eine Verbesserung der Auflösung um ca. 30–40 % (je nach verwendeten Materialien) erreicht werden. Im Vergleich dazu würde ein Umstieg von ArF- auf F2-Excimerlaser eine Verbesserung von nur knapp 19 % ermöglichen. Neben der Auflösung verbessert sich auch die Abbildungstiefe bzw. Toleranz in der Ebenheit der Wafer-Topographie ungefähr um den Faktor 2 (für Systeme mit gleicher NA). Dennoch ist die optische Abbildung der Strukturen auf einer Fotomaske in eine Fotolackschicht immer ein Kompromiss aus Auflösungsvermögen und Abbildungstiefe, sodass beide Vorteile der Immersionslithografie nie gleichzeitig ausgenutzt werden können.

Technischer Aufbau

Schematischer Aufbau eines Immersionslithografiesystems mit lokaler Benetzung durch Wasser als Immersionsmedium zwischen der letzten Linse und dem Wafer. Die Position des Wassers unter der Linse wird dabei durch eine kontinuierliche Strömung und einen Luftschleier am Rand gehalten.

Der technische Aufbau moderner Immersionslithografieanlagen entspricht im Wesentlichen dem trockener Fotolithografiesysteme auf Basis von ArF-Excimerlasern (193 nm). Dazu gehören die Laserquelle, ein Kondensorlinsensystem, Maskenhalterung, die Fokussierungsoptik sowie der Probentisch, auf dem der Wafer platziert wird. Im Unterschied muss jedoch eine Immersionsflüssigkeit zwischen Wafer und der letzten Linse des Linsensystems gehalten werden. Dafür gibt es zwei grundlegende Ansätze:

  • die Benetzung des gesamten Wafers (engl. stage immersion, also das Eintauchen des Wafers in die Flüssigkeit, oder wafer immersion)
  • die lokale Benetzung mit der Immersionsflüssigkeit (engl. local delivery).

Heutige Anlagen nutzen ausschließlich die lokale Benetzung, da sie aufgrund kürzerer Benetzungs- und Entfernungszeiten deutlich schneller arbeiten können. Dazu wird in der Regel ein Wasserreservoir in der Umgebung der Linse aufgebaut. Der zugehörige Adapter (im Englischen oft als showerhead, dt. ‚Duschkopf‘, bezeichnet), der nur wenige Mikrometer über dem Wafer schwebt, hält dieses Reservoir während der Belichtung stabil und ermöglicht sowohl eine schnelle Benetzung als auch eine schnelle Entfernung der Flüssigkeit. Damit die Flüssigkeit nicht seitlich abfließen kann oder mit der Waferbewegung auf dem Wafer verbleibt, wird am Rand des Adapters ein feiner Luftschleier (engl. air curtain) aufgebaut.

Änderung des Benetzungsverhaltens der Immersionsflüssigkeit mit zunehmender Relativgeschwindigkeit zwischen Probe und Düse. Zu sehen ist die Ausbildung unterschiedlicher Benetzungswinkel in und gegen die Bewegungsrichtung.

Für die Stabilität des Flüssigkeitsreservoirs sind weiterhin die Scan-Geschwindigkeit (Relativgeschwindigkeit Wafer/Linse) und die dynamischen Kontaktwinkel (Be- und Entnetzungswinkel, auch Fortschreit- und Rückzugsrandwinkel genannt) wichtig. Sie beeinflussen unter anderem den möglichen Flüssigkeitsverlust durch Wegziehen des Flüssigkeitsfilms oder Instabilitäten bei der Benetzung. Bei Flüssigkeitsverlusten durch einen weggezogenen Tropfen handelt es sich um Verluste durch einen dünnen Flüssigkeitsfilm hinter dem Immersionsadapter. Sie werden häufig bei niedrigen Geschwindigkeiten und Systemen mit sehr niedrigem Entnetzungswinkel beobachtet, das heißt im Fall von Wasser bei hydrophilen Oberflächen. Im anderen Fall treten Flüssigkeitsverluste auf, wenn die Oberflächenspannung nicht ausreicht, um die Trägheitskräfte (aufgrund des zurückweichenden Meniskus) zu überwinden. Diese Fehler treten vor allem bei hohen Geschwindigkeiten und höheren Benetzungswinkeln auf, im Fall von Wasser bei hydrophoberen Oberflächen. Für typische Scan-Geschwindigkeiten von 500 mm/s – für bis zu 150 Wafer pro Stunde und Anlage – sollte der Entnetzungswinkel im Bereich 70° und höher liegen. Typische, für trockene Lithografie entwickelte 193-nm-Fotolacke haben Entnetzungswinkel von 43–53°. Aus diesen und anderen Gründen, z. B. Schutz der Fotolackschicht vor chemischen Angriffen (vgl. unten), werden entweder andere Fotolacke oder hydrophobere Schutzschichten auf der Fotolackoberseite genutzt.[3]

Immersionsmedium

Hochreines Wasser (nWasser, 193 nm ≈ 1,47) ist seit Beginn der Forschung die hauptsächlich verwendete Immersionsflüssigkeit. Es ist auch das bislang einzige Immersionsmedium, das in der industriellen Produktion zum Einsatz kommt. Neben Wasser (1. Generation) sind derzeit auch noch weitere Flüssigkeiten mit höherem Brechungsindex (1,65–1,8) in der Erforschung. Zu diesen Immersionsflüssigkeiten der zweiten Generation gehören homogene organische Flüssigkeiten, beispielsweise Perfluorpolyether (PFPE) oder Cycloalkane. Ein Brechungsindex im Bereich um 1,65, entspräche nochmals einer Steigerung des Auflösungsvermögens gegenüber Wasser von ca. 14 %. Ähnlich wie bei den Linsenmaterialien ist auch bei den Immersionsflüssigkeiten eine niedrige Temperaturabhängigkeit des Brechungsindexes (auch als thermo-optischer Koeffizient dn/dT bezeichnet) und ein niedriger Absorptionskoeffizient (α < 0,15 cm−1) im UV-Bereich wichtig. Andernfalls kommt es zu Intensitätsunterschieden zwischen den Strahlanteilen in Abhängigkeit von der Wegstrecke im Immersionsmedium sowie zu größeren Änderungen im Fokus bei längerem Betrieb aufgrund von Temperatur- und den damit verbundenen Brechzahländerungen. Zusätzlich können Absorptionen durch das Immersionsmedium auch zu Strahlenschäden (Zersetzung, chemische Reaktionen mit dem Fotolack bis hin zur Blasenbildung) desselben führen. Diese Effekte wirken sich negativ auf den Gesamtprozess aus und sind nur in gewissen Grenzen korrigierbar. Weitere wichtige Eigenschaften sind daher auch eine hohe Wärmekapazität und eine gute Wärmeleitfähigkeit. Viele organische Flüssigkeiten weisen jedoch durch ungebundene Elektronen oder Elektronen in π-Molekülorbitalen hohe Absorptionskoeffizienten bei einer Wellenlänge von 193 und 157 nm auf. Die Suche nach passenden Materialien gestaltet sich daher schwierig.

Um noch höhere Brechungsindizes zu erzielen und so zu möglichen Materialien für hochbrechende Linsen wie Lutetium-Aluminium-Granat (LuAG, Brechungsindex 2,14 bei 193 nm) aufzuschließen, müssen andere Lösungen gefunden werden, denn es sind keine homogenen Flüssigkeiten mit Brechungsindizes über 1,8 bekannt. Daher erforscht man derzeit die dritte Generation von Immersionsflüssigkeiten, bei denen es sich um Suspensionen von Nanopartikeln (z. B. aus 5 nm großen sphärischen Partikeln aus LuAG oder Zirconium(IV)-oxid) von hochbrechenden Materialien in organischen Flüssigkeiten handelt.[16] Insgesamt ist der Gewinn hinsichtlich der Erhöhung der numerischen Apertur durch hochbrechende Immersionsflüssigkeiten (2. und 3. Generation) verhältnismäßig gering und die Forschung war bereits im Jahr 2008 hinter den eigentlichen Zeitplan der Industrie zurückgefallen.[17] Ihr industrieller Einsatz ist daher ungewiss.

Probleme beim Einsatz in der Massenproduktion

Generell wird in der Halbleitertechnik eine neue Technik nur dann in der Fertigung eingesetzt, wenn die Defektdichte ein Niveau erreicht hat, das vergleichbar mit ähnlichen Techniken ist, in diesem Fall der „trockenen“ Lithographie. Daher zählten Defekte und anderen möglichen Quellen für eine verringerte Ausbeute (englisch yield) von Mikrochips auf einem Wafer als größte Hindernisse für die Nutzung der Immersionslithografie in der Massenproduktion. Frühe Studien konzentrierten sich auf die Beseitigung von Blasen, Temperatur- und Druckschwankungen in der Immersionsflüssigkeit sowie die Absorption der Flüssigkeit durch den Fotolack.[18] Immanente Defekte[19] der Immersionslithografie und die Partikelerzeugung durch die Wasserausgabeeinheit (eine häufige Defektquelle) wurden identifiziert.

Des Weiteren hat sich gezeigt, dass Wasser sowohl Teile des Fotoinitiators – ein fotoaktiver Stoff, der bei der Belichtung eine Fotosäure bildet (engl. photoacid generators, PAGs) – als auch die Fotosäure selbst – in der Regel eine Carbonsäure, die die Entwicklungsrate um 1 bis 2 Größenordnungen erhöht – aus dem Fotolack extrahiert.[20] Dieser Übergang der beiden Stoffe aus dem Fotolack in das Immersionsmedium Wasser muss verhindert werden, da zum einen eine Schädigung der Objektivlinse durch die Säure oder durch Verunreinigungen vermieden werden muss und zum anderen die Ausdiffusion einen negativen Einfluss auf die Fotolackentwicklung hat.

Bei UV-Bestrahlung mit 193 nm wird Wasser ionisiert.[21] Bei dieser Ionisation entstehen solvatisierte Elektronen, die sich im Fotolack ausbreiten, mit diesem reagieren und so die Auflösungsleistung negativ beeinflussen.

Die oben genannten Bedenken hinsichtlich möglicher Defekte haben zu Überlegungen über den Einsatz einer Deckschicht direkt auf dem Fotolack geführt. Diese Deckschicht verhindert die Diffusion von Wasser und PAG zwischen dem flüssigen Medium und dem Fotolack. Darüber hinaus wurde die Grenzfläche zwischen der Flüssigkeit und der Deckschicht hinsichtlich der Reduzierung von Wasserzeichen optimiert. Beim Einsatz einer Deckschicht muss natürlich eine mögliche Defekterzeugung durch die Deckschicht ausgeschlossen oder zumindest dieser vorgebeugt werden.

Bei Scan-Geschwindigkeiten moderner Scanner in der Hochvolumenproduktion von ungefähr 500 mm/s ist die tatsächliche Fotolack-Wasser-Kontaktzeit im Bereich der Belichtung minimal. Daraus ergeben sich folgende Hauptprobleme: zum einen Defekte durch Wasserreste (Bildung von Wasserzeichen) und zum anderen ein Verlust der Fotolack-Wasser-Haftung (Bildung eines Luftspalts). Dabei sind die Hydrophobie der Oberfläche und die Art der Wasserzuführung bzw. -abführung die wichtigsten Aspekte, die bei der technischen Umsetzung beachtet werden müssen. Ein weiterer Bereich, in dem Defekte verstärkt auftreten können, ist der Scheibenrand, wo das Wasser eine „Kehrtwende“ (Rücklauf) macht und dabei Defekte (Partikel) vom Waferrand und der Waferrückseite aufnehmen kann.

Aktueller und zukünftiger Einsatz

Polarisationseffekte bei der Immersionslithografie. Für Abstände, bei denen die Immersionslithografie relevant ist, beeinflusst die Polarisation des eingesetzten Lichts die Intensität innerhalb des Fotolacks. Dieses Beispiel ist für einen Half-Pitch von 56 nm.

ASML (mit Optiken der Carl Zeiss SMT GmbH[22]), Nikon und Canon sind derzeit die einzigen kommerziellen Anbieter von Immersionslithografiesystemen für die Produktion. Seit 2007 werden die Systeme von größeren Halbleiterunternehmen in der Fertigung von Produkten 45-nm-Technik und darunter eingesetzt, wie IBM, Globalfoundries (die ehemalige Fertigungssparte von AMD), UMC, Toshiba und Texas Instruments. Entsprechende Produkte sind beispielsweise bei AMD Athlon II und Fusion. Intel begann hingegen erst im Jahr 2009, die Immersionslithografie für seine Produkte in 32-nm-Technik einzusetzen, beispielsweise Intel Core i7 (Westmere).[23] Auch die Fertigung von 22-nm-Produkten und darunter wird mit hoher Wahrscheinlichkeit noch mittels Immersionslithografie für die kritischen Ebenen im Middle-of-Line erfolgen.[24][25][26] Dies ist allerdings davon abhängig, wie schnell sich alternative Verfahren wie die EUV- oder Elektronenstrahllithografie hinsichtlich ihrer industriellen Einsetzbarkeit entwickeln bzw. ob diese überhaupt bereitstehen.[27]

Derzeit wird untersucht, welche Verbesserungen notwendig sind, damit die Technik auch nach dem 32-nm-Knoten eingesetzt werden kann. Dazu gehören unter anderem der Einsatz von Materialien mit einem höheren Brechungsindex als die der derzeit eingesetzten Materialien. Dies betrifft zum einen die letzte Linse (Objektivlinse), bei der über den Einsatz von Lutetium-Aluminium-Granat (LuAG, Brechungsindex von 2,14 bei 193 nm) statt Siliciumdioxid nachgedacht wird, und zum anderen die Immersionsflüssigkeit (Ersatz von Wasser) sowie den Fotolack selbst. Der Grund hierfür ist, dass der niedrigste Brechungsindex dieser drei Komponenten die numerische Apertur des Abbildungssystems maßgeblich bestimmt. Dabei kann mit höher brechenden Materialien eine höhere numerische Apertur und somit eine bessere Auflösung kleiner Strukturierung erreicht werden. Diese neuen Entwicklungen erlauben eine optische Auflösung von ungefähr 30 nm. Es ist jedoch zu erwarten, dass unterhalb von 40 nm aktuelle Fotolacke eine weitere Skalierung behindern.[28] Weitere Probleme sind Polarisationseffekte[29], Doppelbrechung und temperaturabhängige Dispersion im optischen System.

Auf der anderen Seite ermöglichen Techniken wie die Einhaltung von Layoutregeln zur besseren Herstellbarkeit (engl. layout regularity bzw. design for manufacturability, kurz DFM) sowie Double-Exposure- oder das Double-Patterning-Verfahren (Doppelstrukturierung) eine Verkleinerung der Half-Pitch-Auflösung um einen Faktor von 2. Dadurch könnte die Immersionslithografie auch über die 32-nm-Technologie hinaus genutzt werden, möglicherweise bis zum 16-nm-Technologieknoten. Während das Double-Patterning-Verfahren die Pitch-Auflösung verbessert, liegt es an nicht-lithographischen Methoden, tatsächlich eine Reduzierung der Strukturgröße zu erreichen. Problematisch wird bei solch geringen Strukturgrößen (unterhalb von 32 nm) hingegen der Einsatz von Methoden der optical proximity correction (OPC, deutsch etwa: ‚optische Nahbereichskorrektur‘). Denn für größere Zusatzstrukturen zur Verbesserung der Abbildungsqualität, wie hammerheads (dt. ‚Hammerköpfe‘), ist schlicht nicht mehr genug Platz auf der Fotomaske.

Literatur

  • Yayi Wei, Robert L. Brainard: Advanced Processes for 193-nm Immersion Lithography. SPIE Press, 2009, ISBN 978-0-8194-7557-2.
  • Burn J. Lin: Optical Lithography: Here Is Why. SPIE Press, 2009, ISBN 978-0-8194-7560-2.

Weblinks

Einzelnachweise

  1. Burn J. Lin: The future of subhalf-micrometer optical lithography. In: Microelectronic Engineering. Band 6, Nr. 1–4, 1987, S. 31–51, doi:10.1016/0167-9317(87)90015-3.
  2. a b Burn J. Lin: Optical Lithography: Here Is Why. SPIE Press, 2009, ISBN 978-0-8194-7560-2, S. 325.
  3. a b c d e f Daniel P. Sanders: Advances in Patterning Materials for 193 nm Immersion Lithography. In: Chemical Reviews. Band 110, Nr. 1, 2010, S. 321–360, doi:10.1021/cr900244n.
  4. Yayi Wei, David Back: 193nm immersion lithography: Status and challenges. In: SPIE Newsroom. 22. März 2007, abgerufen am 22. Januar 2012 (doi:10.1117/2.1200703.0001).
  5. Yayi Wei, Robert L. Brainard: Advanced Processes for 193-nm Immersion Lithography. SPIE Press, 2009, ISBN 978-0-8194-7557-2, S. 7.
  6. Kazuhiro Hirano, Yuichi Shibazaki, Masato Hamatani, Jun Ishikawa, Yasuhiro Iriuchijima: Latest results from the Nikon NSR-S620 double patterning immersion scanner. Taipei, Taiwan 2009, S. 75200Z-75200Z-12, doi:10.1117/12.837037 (Enthält ein paar interessante Grafiken zum prinzipiellen Aufbau solcher Lithografiesysteme).
  7. TwinScan NXT:1950i. ASML, abgerufen am 5. September 2011 (Produktseite).
  8. Mark LaPedus: Inside Intel’s litho strategy at 22-nm. EE Times, 18. März 2010, abgerufen am 5. September 2011.
  9. https://www.wisag.ch/quarzglas-quarzgut/heraeus-quarzglas-fuer-die-optik website der Fa. Heraeus
  10. Axel Engel, Konrad Knapp, Lutz Aschke, Ewald Moersen, Wolfgang Triebel: Development and investigation of high-quality CaF2 used for 157-nm microlithography. International Society for Optics and Photonics, 26. April 2001, S. 298–304, doi:10.1117/12.425218 (spiedigitallibrary.org [abgerufen am 27. Juli 2017]).
  11. a b c M. Switkes, M. Rothschild: Immersion lithography at 157 nm. In: Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures. Band 19, Nr. 6, 2001, S. 2353, doi:10.1116/1.1412895.
  12. Burn J. Lin: Optical Lithography: Here Is Why. SPIE Society of Photo-Optical Instrumentation Engineering, 2009, ISBN 0-8194-7560-2, S. 369.
  13. Burn J. Lin: Optical Lithography: Here Is Why. SPIE Press, 2009, ISBN 978-0-8194-7560-2 (Chapter 4: The Metrics of Lithography).
  14. Burn J. Lin: Optical Lithography: Here Is Why. SPIE Press, 2009, ISBN 978-0-8194-7560-2.
  15. Yayi Wei, Robert L. Brainard: Advanced Processes for 193-nm Immersion Lithography. SPIE Press, 2009, ISBN 978-0-8194-7557-2.
  16. S. Jahromi, L. Bremer, R. Tuinier S. Liebregts: Development of Third Generation Immersion Fluids Based on Dispersion of Nanoparticles (Memento des Originals vom 23. Januar 2015 im Internet Archive)  Info: Der Archivlink wurde automatisch eingesetzt und noch nicht geprüft. Bitte prüfe Original- und Archivlink gemäß Anleitung und entferne dann diesen Hinweis.@1@2Vorlage:Webachiv/IABot/www.sematech.org. In: 5th international Symposium on immersion lithography extensions. 22.–25. September 2009.
  17. Soichi Owa, Toshikazu Umatate: High Index Lithography (HIL) Progress and Plans (Memento des Originals vom 28. Januar 2016 im Internet Archive)  Info: Der Archivlink wurde automatisch eingesetzt und noch nicht geprüft. Bitte prüfe Original- und Archivlink gemäß Anleitung und entferne dann diesen Hinweis.@1@2Vorlage:Webachiv/IABot/www.sematech.org. 2008 SEMATECH Litho Forum, Bolton Landing 14. Mai 2008.
  18. M. Switkes, R. R. Kunz, M. Rothschild, R. F. Sinta, M. Yeung, S.-Y. Baek: Extending optics to 50 nm and beyond with immersion lithography. In: Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures. Band 21, Nr. 6, 2003, S. 2794, doi:10.1116/1.1624257.
  19. U. Okoroanyanwu, J. Kye, N. Yamamoto, K. Cummings: Defectivity in water immersion lithography. In: Microlithography World. Band 14, Nr. 4, 2005, S. 4–7.
  20. J. Christopher Taylor, Charles R. Chambers, Ryan Deschner, Robert J. LeSuer, Willard E. Conley, Sean D. Burns, C. G. Willson: Implications of immersion lithography on 193-nm photoresists. Santa Clara, CA, USA 2004, S. 34–43, doi:10.1117/12.535875.
  21. Akyhiro Iwata, Nobuaki Nakashima, Yasukazu Izawa, Chiyoe Yamanaka: One-photon ionization of liquid water upon 193 nm laser irradiation. In: Chemistry Letters. Band 22, Nr. 11, 1993, S. 1939–1940, doi:10.1246/cl.1993.1939.
  22. Lithographische Systeme. Optiken für 193 nm Immersionslithographie. Carl Zeiss SMT GmbH, abgerufen am 22. Januar 2012.
  23. Mark Bohr: Intel 32nm Technology. In: Logic Technology Development. 10. Februar 2009 (intel.com [PDF] Vortragsfolien).
  24. Aaron Hand: DFM, Design Restrictions Enable Double Patterning. (Nicht mehr online verfügbar.) In: Semiconductor International. 12. Januar 2007, archiviert vom Original am 5. Februar 2008; abgerufen am 22. Januar 2012.
  25. Mark LaPedus: SPIE: Intel to extend immersion to 11-nm. EETimes, 23. Februar 2010.
  26. Mark LaPedus: IBM sees immersion at 22nm, pushes out EUV. EETimes, 22. Februar 2010.
  27. David Lammers: Intel: EUV Facts Don’t Add Up' for 22 nm in 2011. (Nicht mehr online verfügbar.) In: Semiconductor International. 22. Februar 2008, archiviert vom Original am 26. April 2008; abgerufen am 22. Januar 2012.
  28. U. Okoroanyanwu, J. H. Lammers: Resist Road to the 22nm Technology Node. In: Future Fab International. Band 17, 2004, S. 17.
  29. Christian Wagner: Advanced technology for extending optical lithography. In: Proceedings of SPIE. Santa Clara, CA, USA 2000, S. 344–357, doi:10.1117/12.389046.

Auf dieser Seite verwendete Medien

Immersionlithografie - Verbesserung der Tiefenschärfe.svg
Autor/Urheber: Cepheiden, Lizenz: CC BY-SA 3.0
Schematische Darstellung zur Verbesserung der Abbildungstiefe, d. h., der Bereich in dem der Fokus für eine scharfe Abbildung ausreichend ist, bei der Immersionslithografie im Vergleich zur konventionellen trockenen Fotolithografie. Dabei wird sichtbar, dass bei der Immersionslithografie die gleiche Abbildungstiefe mit einer geringeren Linsenöffnung (= geringere NA der Linse) zu erreichen ist. Im Umkehrschluss bedeutet dies, dass bei Immersionssystemen mit gleicher NA wie ein trockendes System eine größere Abbildungstiefe erreicht wird. (Beispielbrechzahlen: Luft = 1,0; Wasser = 1,44; Quarzlinse = 1,56; Fotolack = 1,75, vgl. BJ Lin: SPIE PM - 190 - Optical lithography -- Here is why. SPIE Press, 2010, ISBN 978-0819475602, Seite 327)
Immersionlithografie - Auflösungsvorteil.svg
Autor/Urheber: Cepheiden, Lizenz: CC BY-SA 3.0
Verbesserung des Auflösungsvermögens bei der Immersionslithografie im Vergleich zur konventionellen trockenen Fotolithografie durch die Möglichkeit eine höhere numerische Apertur nutzen zu können. (Beispielbrechzahlen: Luft = 1,0; Wasser = 1,44; Quarzlinse = 1,56; Fotolack = 1,75, vgl. BJ Lin: SPIE PM - 190 - Optical lithography -- Here is why. SPIE Press, 2010, ISBN 978-0819475602, Seite 327)
Immersionslithografie - Lens Showerhead.svg
Autor/Urheber: Cepheiden, Lizenz: CC BY-SA 3.0
Schematischer Aufbau eines Immersionslithografiesystems mit Wasser als Immersionsmedium zwischen der letzten Linse und dem Wafer. Die Position des Wassers unter der Linse wird dabei durch eine kontinuierliche Strömung und einem Luftschleier am Rand gehalten.
Immersion lithography.svg
mikroskopia imersyjna
56 nm hp polarized image.PNG
(c) Guiding light in der Wikipedia auf Englisch, CC BY-SA 3.0
The electric field amplitude squared in a photoresist medium of index n=1.7. The interference resulting in 112 nm pitch lines and spaces necessarily entails a high angle of interference (~30°) for the 193 nm ArF wavelength.
Immersionslithografie - Verhalten des Kontaktwinkels.svg
Autor/Urheber: Cepheiden, Lizenz: CC BY-SA 3.0
Änderung des Benetzungsverhaltens der Immerssionsflüssigkeit mit zunehmender Relativgeschwindigkeit zwischen Probe und Düse.